数字逻辑:哪个大大 教下!1.用D触发器做存储元件,设计一个同步时序逻辑电路,实现两位二进制数加法计数计功能2.用4选1的选择器74153实现函数F(A,B,C)=∑m(1,2,3,6)的逻辑功能

来源:学生作业帮助网 编辑:作业帮 时间:2024/05/02 21:05:55
数字逻辑:哪个大大 教下!1.用D触发器做存储元件,设计一个同步时序逻辑电路,实现两位二进制数加法计数计功能2.用4选1的选择器74153实现函数F(A,B,C)=∑m(1,2,3,6)的逻辑功能

数字逻辑:哪个大大 教下!1.用D触发器做存储元件,设计一个同步时序逻辑电路,实现两位二进制数加法计数计功能2.用4选1的选择器74153实现函数F(A,B,C)=∑m(1,2,3,6)的逻辑功能
数字逻辑:哪个大大 教下!
1.用D触发器做存储元件,设计一个同步时序逻辑电路,实现两位二进制数加法计数计功能
2.用4选1的选择器74153实现函数F(A,B,C)=∑m(1,2,3,6)的逻辑功能

数字逻辑:哪个大大 教下!1.用D触发器做存储元件,设计一个同步时序逻辑电路,实现两位二进制数加法计数计功能2.用4选1的选择器74153实现函数F(A,B,C)=∑m(1,2,3,6)的逻辑功能
program lx;
var a,b,i,c,d:integer;
s1,s2,s3:string;
begin
write('input:');
readln(a,b);
i:=1;
s1:='';
s2:='';
s3:='';
c:=a+b;
while a>0 do begin
s1:=chr(a mod 2+ord('0'))+s1;
a:=a div 2;
i:=i+1;
end;
i:=1;
while b>0 do begin
s2:=chr(b mod 2+ord('0'))+s2;
b:=b div 2;
i:=i+1;
end;
i:=0;
while c>0 do begin
s3:=chr(c mod 2+ord('0'))+s3;
c:=c div 2;
i:=i+1;
end;
val(s1[0],a,d);
val(s2[0],b,d);
val(s2[0],c,d);
if a-b >0 then begin
for i:=1 to c-a do begin s1:=' '+s1; s2:=''+s2 end;
for i:=1 to a-c do s2:=' '+s2;
writeln(' ',s1);
writeln('+ ',s2)
end else begin
for i:=1 to c-b do begin s1:=' '+s1; s2:=''+s2 end;
for i:=1 to b-a do s1:=' '+s1;
writeln(' ',s1);
writeln('+ ',s2)
end;
writeln('--------------');
writeln(' ',s3);
readln;
end.

数字逻辑:哪个大大 教下!1.用D触发器做存储元件,设计一个同步时序逻辑电路,实现两位二进制数加法计数计功能2.用4选1的选择器74153实现函数F(A,B,C)=∑m(1,2,3,6)的逻辑功能 数字电子技术~D触发器转T触发器 数字逻辑电路问题!急设计一个模4计数器.要求计数代码为典型格林码,用JK触发器实现,写出完整实验过程用D触发器实现T触发器的逻辑功能,画出电路图(可以根据需要选用适当的逻辑门)用与 求解数字逻辑各种触发器的波形图怎么画? 用两个D触发器实现一个异步四进制计数器电路,要求画出逻辑图~ 对于JK触发器,输入J=0,K=1,CLK脉冲作用后,触发器的次态应为多少?对于JK触发器,输入J=0,K=1,CLK脉冲作用后,触发器的次态应为( )A.0 B.1 C.不确定 D.保持不变《数字逻辑与数字系统》 数字逻辑的各类触发器的图怎么画还有哪些触发器上升沿有效,哪些下降沿有效 jk触发器的逻辑表达式 用D触发器能组成计数器吗? 数字逻辑电路题目写出图a所示电路的特征方程式(输出逻辑函数表达式),画出在图b的输入和cp下的输出波形(设触发器初态为0状态) 数字逻辑的题目设下面各个触发器的初态皆为0,画出各个触发器的输出Q端的波形.(设触发器初态为0)麻烦会的朋友说详细点怎么画 本人比较水. 数字逻辑用公式化简逻辑函数 数字逻辑与数字系统中触发器的相关问题1、对于JK触发器,当J=(K非)时,次态方程为( ) 2、主从型JK触发器的特性方程为( ) 3、一个JK触发器有( )个稳态.4、T触发器中,当T=1时,触发 数字逻辑与数字系统的相关问题2、要构成一个十二进制计数器,所用的触发器至少为( )个.3、下列能把串行数据变成并行数据的电路是( )A、移位寄存器 B、JK触发器 C、3/8线译码器4、用 d触发器 通过与非门转为 t触发器 D触发器转换成为jk触发器 用JK触发器设计一个二进码三进制的同步减法计数器,画出逻辑图! 求各种触发器、逻辑电路的逻辑结构